Skip to main content

La guerra dei chip non frena (per ora) la crescita di Asml e Tsmc

I due giganti dei semiconduttori hanno pubblicato rispettivamente il report annuale e i risultati del quarto trimestre per il 2023. Emerge un quadro molto incoraggiante per le due aziende, nonostante l’acuirsi delle tensioni geopolitiche tra Stati Uniti e Cina. Ecco le principali sfide di mercato, e non, che le attendono… tra cui i chip per l’intelligenza artificiale

Se Jensen Huang non fosse il ceo della, forse, più importante azienda per il futuro dell’intelligenza artificiale (Nvidia), le sue dichiarazioni sarebbero passate inosservate, a conferma di quanto analisti, osservatori e pionieri di quest’industria mormorano da tempo. “Ogni paese necessita di un IA sovrana” perché, secondo Huang, “codifica la vostra cultura, l’intelligenza della vostra società, il vostro senso comune, la vostra storia – siete voi a possedere i vostri dati”. Parole scambiate con Al Olama, ministro degli Emirati Arabi Uniti per l’IA durante la conversazione avvenuta in un momento chiave dell’evento, il World Governments Summit, a cui hanno partecipato più di 4.000 delegati provenienti da 150 Paesi.

Con lo sviluppo dell’intelligenza artificiale e del computing avanzato, le graphic processing unit (GPU) di Nvidia sono diventate il prodotto che abilita un’innovazione dopo l’altra. “La Gpu di Nvidia è l’unica piattaforma disponibile per tutti su qualsiasi piattaforma”, ha dichiarato Huang. “Questa ubiquità non solo ha democratizzato l’IA, ma ha anche facilitato un’ondata di innovazione che spazia dal cloud computing ai sistemi autonomi e oltre”. Sulle Gpu come l’A100 e l’H100, vietate ai clienti cinesi, l’azienda californiana sta costruendo la sua leadership di mercato, con un fatturato cresciuto dell’86% nel 2023 e un +246% per il market cap, ora a livelli astronomici a circa $1.83 trilioni di dollari, poco sopra Google.

Sovranità, democratizzazione. Concetti che sembrano richiamare un altro secolo, non quello che avevano immaginato i primi argonauti dell’era digitale. Resta, tuttavia, un aspetto cruciale non affrontato da Huang durante l’evento, ovvero di come questa corsa al sovranismo IA, a valle (che potremmo definire come uno scontro di governance del settore tra Big Tech e governi nazionali), stia già impattando la filiera a monte, ovvero costruita su materiali, attrezzature, processi produttivi e tutte le componenti abilitanti che portano il software a costruirsi sull’hardware, quello dei semiconduttori. E qui, le due aziende che al momento sono trainate dal vortice della corsa all’intelligenza artificiale sono appunto Tsmc e Asml. Ed è qui che lo scontro, da governance, diventa uno scontro di governi, apparati statali, dove è calata una cortina di silicio.

In realtà, nonostante il contesto geopolitico – e macroeconomico – non così favorevole, le due aziende hanno registrato risultati molto incoraggianti nel 2023 e che sottolineano un trend di crescita trainato soprattutto dalla rivoluzione dell’intelligenza artificiale, destinata a impattare fortemente sull’industria dei chip. Ma restano, tuttavia, proprio le incertezze dettate dalla competizione tra USA e Cina, soprattutto sulle tecnologie critiche ed emergenti.

In particolare, coinvolta in questo scontro è l’azienda olandese Asml, che ha pubblicato il suo report annuale che riassume le sfide intercorse nel 2023. La società con sede a Veldhoven, seppur abbia già registrato limitati impatti sul suo business – la manifattura di equipaggiamento per la fabbricazione di chip (Euv, Duv) – per via dei controlli sull’export di questi macchinari imposti dal Dipartimento del Commercio americano, su supervisione del Bureau of Industry and Security (Bis) e a cui il governo olandese si è allineato, ora avverte di ulteriori sanzioni che potrebbero essere implementate nel corso del 2024.

Nel report, infatti, vengono più volte riprese le  “tensioni geopolitiche” al centro dell’attenzione del management dell’azienda. In oltre 350 pagine, l’azienda ha elencato e descritto le sfide che hanno influenzato il suo business, tra cui il down-turn che ha colpito il mercato dei personal computer (influenzato dagli alti tassi d’interesse e dall’inflazione galoppante, che ha ridotto il potere di acquisto), le questioni legate alla supply chain e ai controlli sull’export. Come si legge nel report, l’azienda “è esposta a questioni economiche, geopolitiche e altri sviluppi per le operazioni internazionali” che includono non solo i divieti riguardanti il mercato e le industrie dei chip della Cina, ma anche il conflitto arabo-palestinese e quello in Ucraina (dove viene prodotto gran parte del neon, gas raro utilizzato nei macchinari litografici).

Nel primo caso, quello sicuramente più rilevante, l’azienda ha dichiarato che il 26% del suo fatturato è derivato dal business in Cina, un mercato in crescita e il cui accesso dipenderà sempre di più dalla capacità dell’azienda di “fornire la tecnologia” in conformità con le “licenze e le approvazioni” delle agenzie preposte al presidio dei suoi asset. Proprio su questo aspetto, la società olandese non ha lesinato alcune critiche al governo degli Stati Uniti, che ha “imposto misure commerciali, incluse clausole di sicurezza nazionale nel condurre il business con alcune entità cinesi, restringendo l’abilità [di Asml, n.d.] di fornire prodotti e servizi senza una licenza”.

Una lista che ha visto crescere il numero di entità ritenute un rischio per la sicurezza nazionale americana sin dal 2022, anno che ad ottobre ha visto l’apice della guerra dei chip con l’entrata in vigore del nuovo pacchetto di export control. E che potrebbe essere soggetta a nuove revisioni. ASML domina il mercato della litografia ultravioletta estrema (Euv), con oltre il 90% dello share (segue la giapponese Nikon) mentre compete con aziende americane come Applied Materials, Lam Research e Kla Corporation su altri dispositivi ad immersione (i Duv). Solo gli Euv, come la Twinscan EXE:5000 High-NA di recente spedita per i Foundry Service di Intel in Oregon, sono in grado di incidere sui wafer di silicio microprocessori più avanzati, sotto i 3 nanometri di scala. Proprio nel 2023, grazie alla sua leadership sulle apparecchiature Euv avanzate (trainate dalla crescita della capacità produttiva nel segmento foundry per i chip logici, soprattutto IA) Asml ha superato, in fatturato, la rivale Applied Materials per il mercato dei semiconductor manufacturing equipment (Sme) dopo una rincorsa durata dieci anni. Un risultato che in parte sconfessa chi aveva segnalato come il regime di controlli sull’export avrebbe potuto indurre ASML a perdere competitività nei confronti delle aziende americane.

Fatturato di ASML e Applied Materials. Dati: Report annuali.

Fino allo scorso anno, Asml ha spedito diversi dispositivi DUV in Cina, ma il governo olandese su pressione americana ha revocato le licenze prima dell’inizio del 2024. Un cambiamento che tuttavia non ha impattato seriamente il business dell’azienda. Per gli Euv, la società olandese ha dovuto fare i conti con le restrizioni sin dal 2019: anno in cui Huawei, e nello specifico la divisione di design HiSilicon, erano entrati nel mirino delle autorità federali statunitensi. Per prevenire la capacità di Pechino di sviluppare, in autonomia, chip logici avanzati, ad Asml era stato proibito di vendere questi dispositivi in Cina, un’imposizione poi estesa anche alle generazioni Duv più recenti in seguito alla scoperta che il primo chipmaker cinese, Smic (inserito nell’entity list del Dipartimento del Commercio americano nel 2020), lo scorso settembre era riuscito a fabbricare un microprocessore con la tecnologia a 7 nanometri per Huawei nonostante l’embargo tecnologico.

Come parte di questo rischio geopolitico, Asml ha evidenziato la probabilità di misure di ritorsione che la Cina potrebbe perseguire e che impatterebbero di converso il suo business. “L’industria dei semiconduttori utilizza materie prime che sono controllate da alcuni paesi. Nel contesto geopolitico attuale, vediamo un rischio crescente che questi materiali possano diventare inaccessibili o ristretti [sul mercato n.d.]”. Un chiaro riferimento ai rischi della weaponized interdependence, con Pechino che ha già dato prova di poter farvi ricorso imponendo controlli o restrizioni all’esportazioni di materiali critici come gallio, germanio e, in futuro, non è da escludere il silicio metallico (seppur quello di elevata purezza, utilizzato nell’industria dei wafer di chip, sia prodotto principalmente da aziende giapponesi) o materiali non strettamente di utilizzo dai chipmaker, come avvenuto per la grafite.

“Esiste il rischio che future restrizioni commerciali (ad esempio su materie prime, tecnologia, sistemi, investimenti) limitino ulteriormente la nostra capacità di approvvigionarci di parti e/o di vendere e fornire assistenza a determinati clienti”. Impattando, di conseguenza, le vendite. Con la perdita del mercato cinese per gli Euv e certi dispositivi Duv, Asml ha comunque registrato un aumento dell’utile lordo grazie ai maggiori volumi di vendita di Nxe e Duv ad immersione e al miglioramento della redditività. Il margine lordo è passato dal 50,5% del 2022 al 51,3% del 2023, dovuto principalmente alla crescita del segmento Duv. Per quanto riguarda i mercati end-use, invece, ormai predominante è il fatturato derivante dai chip logici (58%) rispetto a quelli memory (21.6%): un elemento che sottolinea l’esposizione crescente di Asml sul mercato dei microprocessori avanzati, specialmente quelli per IA nonostante la decrescita degli ordini relativamente al 2022 (ovvero, prima dell’entrata in vigore degli export control del Bis di ottobre). L’azienda, come delineato dal cfo Roger Dassen, punta a spedire 600 dispositivi Duv e 90 Euv nel biennio 2025-2026, aspettandosi un rimbalzo della domanda di chip significativo trainato sia dal boom dell’intelligenza artificiale sia dalla transizione energetica (l’elettrificazione della flotta automotive e la generazione di elettricità da fonti rinnovabili aumenterà in maniera considerevole il consumo di chip, seppur ai nodi più maturi o con i materiali di nuova generazione come il carburo di silicio).

Una questione dirimente per un’azienda che, dati gli alti costi di capitale e gli investimenti richiesti per sostenere il passo della Legge di Moore, deve aumentare di anno in anno la percentuale di risorse destinate alla R&D: quasi €4 miliardi solo nel 2023, il 22% in più rispetto al 2022. Gran parte dei quali dovuti allo sviluppo della TWINSCAN EXE:5000, la prima EUV di nuova generazione (High-NA). Un macchinario di oltre 165 tonnellate, dal costo complessivo di $380 milioni di euro (circa il doppio della macchina Euv precedente), che consentirà un aumento della densità dei transistor stampati sui wafer di 1.7x e una capacità di processare 185 wafer all’ora rispetto ai 125/170 della tecnologia precedente. Seppur già ordinata da Tsmc (Samsung non ha ancora stabilito se adottare già la nuova tecnologia), è possibile che i chip stampati con l’impiego del nuovo macchinario non raggiungeranno il mercato di consumo prima del 2027.

Nonostante tutto, l’azienda è riuscita a muoversi con grande agilità in un mercato scosso dal contesto macro e geopolitico. Infatti, nel 2023, seppur molto produttori di chip abbiano ridotto gli ordinativi, la Cina ha passato lo scettro alla Corea del Sud (che ora ambisce a diventare un nuovo hub globale di produzione con l’intervento massiccio del governo) come secondo mercato di riferimento per l’azienda (dietro, naturalmente, a Taiwan che con Tsmc e Umc rappresenta il primo mercato, con il 29.3%) avendo contato per il 26.3% delle vendite. Lo share della Cina, sul totale del fatturato di Asml (Figura sotto), è comunque cresciuto considerevolmente dal 11.65% del 2019.

Fatturato di ASML per share geografico. Fonte: Report Annuali. 

Da un punto di vista strategico, in questo sorpasso si possono intravedere due risultati contrastanti, intesi come effetti collaterali dell’embargo imposto dagli Stati Uniti. Da una parte, Washington ha indirettamente spinto Asml a rivolgersi maggiormente alle industrie coreane (come Samsung Electronics e SK Hynix, due aziende leader nel segmento dei chip di memoria) per colmare la perdita di mercato in Cina, spostando così l’epicentro del business dell’azienda olandese verso un paese alleato (una sorta di friendshoring lato domanda). Dall’altra però, come evidenziato dal ceo di Asml, Peter Wennink, questa politica tecno-commerciale aggressiva spingerà Pechino, e in special modo le sue aziende e ingegneri, “a diventare fortemente innovativi” per bypassare in tutti i modi l’embargo e diventare auto-sufficienti. Alcune notizie sembrano confermarlo, seppur nel segmento della litografia il gap sembra restare abbastanza significativo soprattutto per la scalabilità di questi successi a livello foundry. Shanghai Micro Electronics Equipment (Smee), azienda cinese che opera nel segmento, avrebbe sviluppato la prima macchina litografica lo scorso dicembre, ma ferma al process node di 28 nanometri (Tsmc ha ordinato macchinari Euv per avviare la produzione ai 2 nanometri entro il 2025). Il governo cinese è pronto a sussidiare le sue aziende, con un focus su quelle che producono equipaggiamento per la manifattura di semiconduttori, con oltre $40 miliardi di fondi pubblici.

“Affronteremo” si legge ancora nel report “la competizione da nuovi player con risorse finanziarie ingenti, mossi anche dall’ambizione di diventare auto-sufficienti nel contesto geopolitico”. Non è chiaro se il riferimento sia alle aziende cinesi: gli sforzi di Pechino, sottoforma di incentivi per supportare l’industria in un segmento dove i margini di profitto sono piuttosto ridotti (soprattutto se il tentativo è quello di aggredire un mercato in cui l’elemento chiave è il possesso, o meno, della tecnologia leading-edge) sicuramente sono pensati per beneficiare i produttori nazionali come Smic o Hua Hong Semiconductor. E’ poco plausibile che aziende, come Smess, possano diventare competitor credibili nel medio-lungo periodo di Asml nei segmenti avanzati (Euv). Più probabile in quelli a immersione (Duv), dove al netto delle restrizioni il mercato cinese rimane comunque il terzo per numero di vendite per Asml.

Infime, l’esposizione della società olandese su Taiwan rappresenta un’altra questione nel triangolo strategico con Stati Uniti e Cina. Seppur vi sia stata un’evidente decrescita della percentuale delle vendite rispetto al 2022 (38% circa), l’isola e in primis Tsmc rappresentano i primi stakeholder dell’azienda. Il fato di Asml è strettamente legato a quello di TSMC, la cui strategia di diversificazione della sua base industriale tra Stati Uniti, UE e Giappone in realtà non riguarda i chip avanzati e dunque gli ordinativi per i dispositivi EUV di ultima generazione.

Questo ricorso al sovranismo tecnologico, con sussidi a pioggia per attirare gli investimenti dei grandi produttori di chip come Tsmc, Intel, Samsung e GlobalFoundries contribuirà, secondo Wennink, a raddoppiare le dimensioni dell’industria dei semiconduttori entro la fine del decennio, che oggi vale circa $570 miliardi di dollari di vendite secondo i dati della Semiconductor Industry Association (Sia).

Tsmc, di converso, ha diffuso i dati discussi durante l’ultima conferenza con gli investitori sui risultati del quarto trimestre. Fino al 2027, Tsmc registrerà una percentuale “elevata” di ricavi “dai processori per applicazioni di intelligenza artificiale”, con un tasso di crescita annuale dell’IA in Tsmc di circa il 50%. Una prospettiva che legherà ancora di più il suo business con una partnership a lungo termine con Asml.

La produzione di massa N3 (ovvero a 3 nanometri) è iniziata nella seconda metà del 2023 e ha rappresentato il 6% del fatturato totale dei wafer nel 2023, con previsioni di triplicare nel 2024 secondo le previsioni del management. “Quasi tutti gli innovatori mondiali nel campo degli smartphone e dell’Hpc (high performance computing) stanno lavorando con Tsmc sulle tecnologie a 3 nm”. Parliamo di aziende come Nvidia, Amazon, Apple etc. La spesa di Tsmc per nuovi impianti e attrezzature per linee di produzione (capex) nel 2023 si è attestata sui $30,45 miliardi, mentre per il 2024 è prevista essere (principalmente per capacità produttive a 3 e a 2 nanometri) tra i 28 e i 32 miliardi, con un’intensità di capitale del 30%.

Numeri che confermano l’impegno di Tsmc di guidare la transizione dell’industria dei semiconduttori verso l’era dell’intelligenza artificiale che, nonostante le previsioni di Jensen Huang (e le aspettative del mercato, con lo stock delle prime 20 aziende di semiconduttori che è già oltre i $2 trilioni di dollari), dovrà necessariamente passare dall’abbraccio sempre più stretto tra tecnologia e geopolitica e che riguarda nello specifico l’assetto, cruciale, della supply chain dei semiconduttori.

×

Iscriviti alla newsletter